avatar
文章
69
标签
19
分类
6

主页
时间轴
充能
  • 音乐
  • 电影
  • 图库
标签
分类
友人帐
关于
wen's Blog
主页
时间轴
充能
  • 音乐
  • 电影
  • 图库
标签
分类
友人帐
关于
电子线路课程设计——2FSK调制的简单数字通信系统
发表于2022-02-12|课程学习硬|通信
实验内容 电源电路 基带信号生成电路 正弦载波一生成电路 正弦载波二生成电路 模拟电子开关实现的调制电路 解调电路 码元同步电路 抽样判决电路 设计方案与实现一、电源板设计方案概述利用标准电压220V、50kHz得到±5V和±12V的直流电,则需要通过整流、滤波、稳压实现。 元器件与芯片清单: 双15V/30W变压器、整流桥(J4B42)、稳压芯片(LM7805、LM7812、LM7905、LM7915)、电解电容。 实际焊接电源板: 数据对比、分析实际方案仿真电路: 实际方案仿真电路数据分析: (下面仿真测试以输出+12V为例) 标准电压通过整流后滤波: 为了得到更平稳的电压,通过7812稳压芯片可得到: 实际焊接电路数据分析: +12V: -12V: +5V: -5V: 实际仿真电路与实际焊接电路数据对比: 实际焊接测试结果无问题,与仿真结果基本一致。 二、调制板概述调制板包含基带信号生成电路、128kHz载波生成电路、256kHz在波生成电路、调制电路,用于对要发射的信号进行调制。 实际焊接调制系统整体电路: 1. 基带信号生成电路(m序列)设计方 ...
EDA电子设计自动化实验(硬实验)——实验3 时序电路设计
发表于2022-02-12|课程学习硬|EDA•VHDL
实验内容 分频器设计(分频输出:1Hz或2Hz的信号) 要求:实验开发板上有一个50MHz的时钟脉冲(此频率过高,接到开发板的LED灯后,无法观察到LED灯一亮一灭的过程),设计一个分频器,使得分频后的时钟脉冲接到开发板上的LED灯后,肉眼可以观察到LED灯闪烁。 设计一个十进制加法计数器 使用设计的分频器的输出信号作为计数器的时钟输入,再利用第二次实验中设计的七段显示译码器显示计数值。 巴克码发生器设计和巴克码检测器设计。 1. 分频器设计分频器功能:将开发板上自身提供的高频率(50MHz)时钟脉冲通过分频得到低频率的时钟脉冲。 运用VHDL编写实现分频器(1Hz和2Hz): 1234567891011121314151617181920212223242526272829303132LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY fenpinqi IS PORT(clk_in:IN STD_LOGIC; ...
EDA电子设计自动化实验(硬实验)——实验2 组合电路设计
发表于2022-02-12|课程学习硬|EDA•VHDL
实验内容 七段显示译码器设计(实验板上的数码管是共阳极的,低电平时点亮。) 输入:拨动开关,从“0000”至“1111”变化; 输出:用数码管显示(0,1,…9,A,b,C,d,E,F)。 8位二进制数加法器(用两个4位二进制数加法器实现层次化设计:元件例化,即在顶层调用。),用数码管显示(0,1,…9,A,b,C,d,E,F) 七人表决器设计。 1. 七段显示译码器设计七段显示译码器功能:根据输入的4位二进制数在七段数码管上显示对应的十六位进制数。 利用VHDL编写实现七段显示译码器设计: 12345678910111213141516171819202122232425262728293031library ieee;use ieee.std_logic_1164.all;entity seven is port( a: in std_logic_vector(3 downto 0); b: out std_logic_vector(6 downto 0));end entity seven;architecture behave of sev ...
EDA电子设计自动化实验(硬实验)——实验1 入门设计
发表于2022-02-12|课程学习硬|EDA•VHDL
实验内容 4选1数据选择器的设计(图形和文本); 四位比较器; 四位二进制数加法器。 1. 4选1数据选择器的设计(图形和文本)①运用元器件直接连接得到4选1数据选择器: ②利用VHDL编写实现4选1数据选择器: 123456789101112131415161718library ieee;use ieee.std_logic_1164.all;entity mux_41 is   port(                         s :  in std_logic_vector(1 downto 0);                          y :  out std_logic);end entity mux_41;architecture archmux of mux_41 is  begin      mux4_1: process (s)        begin            if     s = "00" then   y <= '1';            elsif  s = &qu ...
EDA电子设计自动化实验(软实验)——实验4 有限状态机设计
发表于2022-02-12|课程学习硬|EDA•VHDL
实验内容 设计一个交通红绿灯控制器模块,实现主干道和支路之间红绿黄灯的信号转换。 设计一个10层楼的电梯控制器模块,要求:(1) 时间先后优先级;(2)位置先后优先级。 设计一个10位计算器(+,-,*,/),要有BCD码转换,共阴极LED笔画显示部分实现。 1. 设计一个交通红绿灯控制器模块,实现主干道和支路之间红绿黄灯的信号转换状态机结构框图: 实验模块代码: 123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY TRAFFIC IS PORT(CLK,RST:IN STD_LOGIC; MLIGHTS,CLIGHTS:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END TRAFFIC;ARCHITECTURE BEHAV O ...
EDA电子设计自动化实验(软实验)——实验3 乘法器和除法器设计
发表于2022-02-12|课程学习硬|EDA•VHDL
实验内容 移位相加型8位硬件乘法器设计。 高速硬件除法器设计。 1. 移位相加型8位硬件乘法器设计实验原理:利用一个数的二进制码对另一个数的二进制码进行移位操作实现相乘。 实验模块代码: 1234567891011121314151617181920212223242526LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY MULT8B IS GENERIC (S: INTEGER :=8);PORT ( RESULT :OUT STD_LOGIC_VECTOR(2*S DOWNTO 1); A,B : IN STD_LOGIC_VECTOR(S DOWNTO 1) );END ENTITY;ARCHITECTURE BEHAV OF MULT8B IS SIGNAL A0 : STD_LOGIC_VECTOR(2*S DOWNTO 1);BEGIN A0 <= ...
EDA电子设计自动化实验(软实验)——实验2 编码器和译码器设计
发表于2022-02-12|课程学习硬|EDA•VHDL
实验内容 设计一个8-3线优先编码器(74LS148); 设计一个3-8线译码器(74LS138)。 1. 设计一个8-3线优先编码器(74LS148)实验原理: 74LS148真值表 74LS148引脚图: 当使能端EI=0时,74LS148正常编码。位数越高优先级越高,输入输出均为正常逻辑的反向。输出为位值为‘0’的最高位所处位对应三位二进制数的取反。 实验模块代码: 1234567891011121314151617181920212223242526272829303132 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CD8_3 IS PORT(INPUT: IN STD_LOGIC_VECTOR(7 DOWNTO 0); EN: IN STD_LOGIC; OUTPUT: OUT STD_LOGIC_VECTOR(2 DOWNTO 0); GS: OUT STD_LOGIC; ...
EDA电子设计自动化实验(软实验)——实验1 加法器设计
发表于2022-02-12|课程学习硬|EDA•VHDL
实验内容 设计一个16位二进制全加器模块。 用层次化设计方法,设计一个16位二进制全加器模块。 设计一个16位二进制超前进位全加器模块。 设计一个16-bit 8421-BCD码全加器模块。 1. 设计一个16位二进制全加器模块实验原理:使用并位符“&”使输入成为17位标准逻辑矢量,用于方便得到进位输出,即输入相加得到的结果的最高位即为进位输出,低16位即为相加结果。 实验模块代码: 12345678910111213141516171819LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY ADD16B IS PORT (AIN,BIN : IN STD_LOGIC_VECTOR(15 DOWNTO 0); CIN : IN STD_LOGIC ; COUT : OUT STD_LOGIC; SUM : OUT STD_LOGIC_VECT ...
微处理器原理与应用实验(51单片机部分)——实验4.9 LCD12864显示实验(要求显示自己的姓名和学号)
发表于2022-02-12|课程学习硬|嵌入式
实验具体内容本实验利用单片机并行口实现 LCD12864 的显示控制。实验原理图如下图 4.9.1 所示。 连线关系: 流程图 实验过程 关掉实验箱电源。将 MCU 板,LCD 板插接在母板上。将 LCD12864 插接在 LCD 板的J4M7 上,按照前面连接关系表将硬件连接好。 在仿真器断电情况下将仿真器的仿真头插在 MCU 板的 CPU 插座上。将仿真器与开发 PC 机的通信口连接好,打开实验箱及仿真器的电源。 运行 Keil uVision2 开发环境,建立工程 LCD12864_c.uV2,CPU 为 AT89S51,包含启动文件 STARTUP.A51。 按照实验功能要求创建源程序 LCD12864.c 并加入到工程 LCD12864_c.uV2,并设置工程 LCD12864_c.uV2 属性,将其晶振频率设置为 11.0592MHz,选择输出可执行文件,DEBUG 方式选择硬件 DEBUG,并选择其中的“WAVE V series MCS51 Driver”仿真器。 构造(Build)工程 LCD12864_c.uV2。如果编程有误进行修改,直至构造正确为止。 运行程 ...
微处理器原理与应用实验(51单片机部分)——实验4.5 8255并行I / O口扩展及交通信号灯控制实验
发表于2022-02-12|课程学习硬|嵌入式
实验具体内容本实验利用 8255 实现可编程的并行 IO 扩展功能,并利用其完成交通灯控制。实验要求红灯常亮 30S,绿灯常亮 25S 后闪烁 5S。使用静态数码管显示绿灯常亮倒计时。 实验原理图如下 连线关系: 注意事项: 本实验中的东西方向信号灯同步控制,南北方向信号灯同步控制,即:东西方向上同种颜色的灯同时亮或灭,南北方向上同种颜色的灯同时亮或灭。 程序中的延时 1 秒钟,可以利用纯软件延时实现,也可以结合定时器硬件中断加软件计数方式实现。 图中的 CD4511 是 BCD 码到 LED 数码管的显示码转换芯片,因此要显示某个数字,只需要在相应的端口送出该数字的 BCD 码即可,不用进行软件译码。 流程图 实验过程 关掉实验箱电源。将 MCU 板,PIO 版,KEY&LED 板插接在母板上。按照前面连接关系表将硬件连接好。 在仿真器断电情况下将仿真器的仿真头插在 MCU 板的 CPU 插座上。将仿真器与开发 PC 机的通信口连接好,打开实验箱及仿真器的电源。 运行 Keil uVision2 开发环境,建立工程 PIO8255_trafic.uV2,CPU 为 AT8 ...
1…345…7
avatar
ziwenlo
文章
69
标签
19
分类
6
Follow Me
公告
Welcome to ziwen's Blog!!!
最新文章
嵌入式系统原理应用——实验三 ARM汇编指令实验2—ARM 处理器工作模式实验2022-11-14
嵌入式系统原理应用——实验二 ARM汇编指令实验1—简单数据搬移实验2022-11-14
嵌入式系统原理应用——实验一 ADS的学习和使用2022-11-14
MPSK通信系统的设计与性能研究2022-09-25
数字基带传输系统设计与性能研究2022-09-06
分类
  • 学习笔记3
    • 软3
  • 课程学习51
    • 硬27
    • 软24
  • 追剧笔录15
标签
C#SocketGitMatlab通信EMQXMQTT服务器DSPEDAVHDLJava嵌入式汇编数字信号处理数据结构算法数据库ARM
归档
  • 十一月 20223
  • 九月 20222
  • 八月 20221
  • 五月 20221
  • 二月 202262
网站资讯
文章数目 :
69
已运行时间 :
本站总字数 :
95.4k
本站访客数 :
本站总访问量 :
最后更新时间 :
©2022 - 2024 By ziwenlo
框架 Hexo|主题 Butterfly